Следене
Ziran Zhu
Ziran Zhu
Потвърден имейл адрес: seu.edu.cn
Заглавие
Позовавания
Позовавания
Година
Toward optimal legalization for mixed-cell-height circuit designs
J Chen, Z Zhu, W Zhu, YW Chang
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
552017
An adaptive hybrid memetic algorithm for thermal-aware non-slicing VLSI floorplanning
J Chen, Y Liu, Z Zhu, W Zhu
Integration 58, 245-252, 2017
392017
Mixed-cell-height legalization considering technology and region constraints
Z Zhu, J Chen, W Zhu, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
332020
Discrete relaxation method for triple patterning lithography layout decomposition
X Li, Z Zhu, W Zhu
IEEE Transactions on Computers 66 (2), 285-298, 2016
222016
Generalized augmented lagrangian and its applications to VLSI global placement
Z Zhu, J Chen, Z Peng, W Zhu, YW Chang
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
212018
Congestion-aware global routing using deep convolutional generative adversarial networks
Z Zhou, Z Zhu, J Chen, Y Ma, B Yu, TY Ho, G Lemieux, A Ivanov
2019 ACM/IEEE 1st Workshop on Machine Learning for CAD (MLCAD), 1-6, 2019
192019
An improved simulated annealing algorithm with excessive length penalty for fixed-outline floorplanning
Z Huang, Z Lin, Z Zhu, J Chen
IEEE Access 8, 50911-50920, 2020
182020
A robust modulus-based matrix splitting iteration method for mixed-cell-height circuit legalization
J Chen, Z Zhu, W Zhu, C Yao-Wen
ACM Transactions on Design Automation of Electronic Systems (TODAES) 26 (2 …, 2020
132020
Detailed placement and global routing co-optimization with complex constraints
Z Huang, H Huang, R Shi, X Li, X Zhang, W Chen, J Wang, Z Zhu
Electronics 11 (1), 51, 2021
92021
Timing-aware fill insertions with design-rule and density constraints
X Bai, Z Zhu, P Li, J Chen, T Lan, X Li, J Yu, W Zhu, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
72021
Mixed-cell-height legalization considering complex minimum width constraints and half-row fragmentation effect
Z Zhu, Z Huang, P Yang, W Zhu, J Chen, H Zhou, S Dong
Integration 71, 1-10, 2020
62020
High-performance placement for large-scale heterogeneous FPGAs with clock constraints
Z Zhu, Y Mei, Z Li, J Lin, J Chen, J Yang, YW Chang
Proceedings of the 59th ACM/IEEE Design Automation Conference, 643-648, 2022
52022
Mixed-cell-height placement with drain-to-drain abutment and region constraints
J Chen, Z Zhu, L Guo, YW Tseng, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
52021
Congestion-aware global routing using deep convolutional generative adversarial networks. In 2019 ACM/IEEE 1st Workshop on Machine Learning for CAD (MLCAD)
Z Zhou, Z Zhu, J Chen, Y Ma, B Yu, TY Ho, G Lemieux, A Ivanov
IEEE, 1ś6, 2019
52019
Hamiltonian path based mixed-cell-height legalization for neighbor diffusion effect mitigation
J Chen, Z Zhu, Q Liu, Y Zhang, W Zhu, YW Chang
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
42020
A robust global routing engine with high-accuracy cell movement under advanced constraints
Z Zhu, F Shen, Y Mei, Z Huang, J Chen, J Yang
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
32022
High-performance Placement Engine for Modern Large-scale FPGAs With Heterogeneity and Clock Constraints
Z Zhu, Y Mei, K Deng, H He, J Chen, J Yang, YW Chang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023
12023
High-performance 3D Placement Engine with Physical-aware Incremental Partitioning
Z Zhu, Y Shi, Y Mei, F Shen, H Liu, J Yang
IEEE Transactions on Circuits and Systems II: Express Briefs, 2023
12023
Disjoint-Path and Golden-Pin Based Irregular PCB Routing with Complex Constraints
Q Liu, Q Tang, J Chen, C Chen, Z Zhu, H He, J Chen, YW Chang
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
12023
Efficient Global Optimization for Large Scaled Ordered Escape Routing
C Chen, D Lin, R Wei, Q Liu, Z Zhu, J Chen
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
12023
Системата не може да изпълни операцията сега. Опитайте отново по-късно.
Статии 1–20