Следене
Wei Huang
Wei Huang
AMD Research, IBM Research, University of Virginia, University of Science and Technology of China
Потвърден имейл адрес: amd.com - Начална страница
Заглавие
Позовавания
Позовавания
Година
Temperature-aware microarchitecture
K Skadron, MR Stan, W Huang, S Velusamy, K Sankaranarayanan, ...
ACM SIGARCH Computer Architecture News 31 (2), 2-13, 2003
16662003
HotSpot: A compact thermal modeling methodology for early-stage VLSI design
W Huang, S Ghosh, S Velusamy, K Sankaranarayanan, K Skadron, ...
IEEE Transactions on very large scale integration (VLSI) systems 14 (5), 501-513, 2006
12802006
Temperature-aware microarchitecture: Modeling and implementation
K Skadron, MR Stan, K Sankaranarayanan, W Huang, S Velusamy, ...
ACM Transactions on Architecture and Code Optimization (TACO) 1 (1), 94-125, 2004
9552004
Compact thermal modeling for temperature-aware design
W Huang, MR Stan, K Skadron, K Sankaranarayanan, S Ghosh, ...
Proceedings of the 41st annual design automation conference, 878-883, 2004
4572004
FlexRAM: Toward an advanced intelligent memory system
Y Kang, W Huang, SM Yoo, D Keen, Z Ge, V Lam, P Pattnaik, J Torrellas
2012 IEEE 30th International Conference on Computer Design (ICCD), 5-14, 2012
3832012
Scaling with design constraints: Predicting the future of big chips
W Huang, K Rajamani, MR Stan, K Skadron
IEEE Micro 31 (4), 16-29, 2011
1652011
Temperature-aware computer systems: Opportunities and challenges
K Skadron, MR Stan, W Huang, S Velusamy, K Sankaranarayanan, ...
IEEE Micro 23 (6), 52-61, 2003
1572003
Accurate, pre-RTL temperature-aware design using a parameterized, geometric thermal model
W Huang, K Sankaranarayanan, K Skadron, RJ Ribando, MR Stan
IEEE Transactions on Computers 57 (9), 1277-1288, 2008
1532008
Hotspot: A dynamic compact thermal model at the processor-architecture level
MR Stan, K Skadron, M Barcella, W Huang, K Sankaranarayanan, ...
Microelectronics Journal 34 (12), 1153-1165, 2003
1502003
Monitoring temperature in FPGA based SoCs
S Velusamy, W Huang, J Lach, M Stan, K Skadron
2005 International Conference on Computer Design, 634-637, 2005
1442005
Many-core design from a thermal perspective
W Huang, MR Stant, K Sankaranarayanan, RJ Ribando, K Skadron
Proceedings of the 45th annual Design Automation Conference, 746-749, 2008
1402008
PPEP: Online performance, power, and energy prediction framework and DVFS space exploration
B Su, J Gu, L Shen, W Huang, JL Greathouse, Z Wang
2014 47th Annual IEEE/ACM International Symposium on Microarchitecture, 445-457, 2014
1112014
TAPO: Thermal-aware power optimization techniques for servers and data centers
W Huang, M Allen-Ware, JB Carter, E Elnozahy, H Hamann, T Keller, ...
2011 International green computing conference and workshops, 1-8, 2011
1042011
Differentiating the roles of IR measurement and simulation for power and temperature-aware design
W Huang, K Skadron, S Gurumurthi, RJ Ribando, MR Stan
2009 IEEE International Symposium on Performance Analysis of Systems and …, 2009
992009
Design and Analysis of an APU for Exascale Computing
T Vijayaraghavan, Y Eckert, GH Loh, MJ Schulte, M Ignatowski, ...
2017 IEEE International Symposium on High Performance Computer Architecture …, 2017
932017
Interconnect lifetime prediction under dynamic stress for reliability-aware design
Z Lu, W Huang, J Lach, M Stan, K Skadron
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004 …, 2004
932004
An improved block-based thermal model in HotSpot 4.0 with granularity considerations
W Huang, K Sankaranarayanan, RJ Ribando, MR Stan, K Skadron
Proceedings of the Workshop on Duplicating, Deconstructing, and Debunking, 2007
832007
Harmonia: Balancing compute and memory power in high-performance gpus
I Paul, W Huang, M Arora, S Yalamanchili
ACM SIGARCH Computer Architecture News 43 (3S), 54-65, 2015
782015
The need for a full-chip and package thermal model for thermally optimized IC designs
W Huang, E Humenay, K Skadron, MR Stan
Proceedings of the 2005 international symposium on Low power electronics and …, 2005
742005
Power-efficient time-sensitive mapping in heterogeneous systems
C Liu, J Li, W Huang, J Rubio, E Speight, X Lin
Proceedings of the 21st international conference on Parallel architectures …, 2012
732012
Системата не може да изпълни операцията сега. Опитайте отново по-късно.
Статии 1–20